Excalibur(ALTERA)への接続方法


The embedded tools company
Excalibur(ALTERA)への接続方法



Excalibur(ALTERA)への接続方法
  ハイライト
ARM9用JTAGデバッガ
フロートレース用ETMトレース
オンチップトレース用SOC-CON
 
  はじめに
EXCALIBUR(ALTERA)開発ボードは、アダプタでSOCトレースに接続することができます。


Link
[www.altera.com]  Altera Excalibur Site




 

Excalibur(ALTERA)への接続方法


Excalibur Adaption


アルテラ社のEXCALIBURボードは3つの異なるツールに接続することができます。

PowerTrace

JTAGデバッガ

ARM9用JTAGデバッガ



  • 広範囲ã®ã‚ªãƒ³ãƒãƒƒãƒ—デãƒãƒƒã‚°ã‚¤ãƒ³ã‚¿ãƒ•ã‚§ãƒ¼ã‚¹ã‚’サãƒãƒ¼ãƒˆ
  • ã‚ã‹ã‚Šæ˜“ã„高級言語åŠã³ã‚¢ã‚»ãƒ³ãƒ–ラデãƒãƒƒã‚¬
  • 多ãã®ã‚³ãƒ³ãƒ‘イラã«å¯¾å¿œ
  • RTOS èªè­˜
  • 内部åŠã³å¤–部ペリフェラルをロジックレベルã§è¡¨ç¤º
  • FLASH プログラミング
  • 強力ãªã‚¹ã‚¯ãƒªãƒ—ト言語
  • オンãƒãƒƒãƒ—ブレークãƒã‚¤ãƒ³ãƒˆã¨ãƒˆãƒªã‚¬æ©Ÿèƒ½ (オンãƒãƒƒãƒ—デãƒãƒƒã‚°ã‚¤ãƒ³ã‚¿ãƒ•ã‚§ãƒ¼ã‚¹ã§ã‚µãƒãƒ¼ãƒˆã•ã‚Œã¦ã„ã‚‹å ´åˆ)
  • マルãƒã‚³ã‚¢ãƒ‡ãƒãƒƒã‚°
  • マルãƒãƒ—ロセッサデãƒãƒƒã‚°
  • å…¨ã¦ã®TRACE32ツールã«ã‚½ãƒ•ãƒˆã‚¦ã‚§ã‚¢äº’æ›
  • 最高 1000 KByte/sec 高速ダウンロード
  • PowerPC ã§åˆ¶å¾¡ã•ã‚Œã‚‹ã‚¢ã‚¯ãƒ†ã‚£ãƒ–デãƒãƒƒã‚¬


プログラムフローとコアデータ用のETMトレース

ARM/Cortex用トレース(パラレル)



  • 最大 4GByteトレースメモリ
  • ターゲット電圧 1.2 ~ 3.3 V
  • 5 ns タイムスタンプ
  • プログラムã€ãƒ‡ãƒ¼ã‚¿ãƒˆãƒ¬ãƒ¼ã‚¹
  • パフォーマンス解æž
  • 関数ãŠã‚ˆã³ã‚¿ã‚¹ã‚¯ãƒ¬ãƒ™ãƒ«ã®å®Ÿè¡Œæ™‚間測定
  • コードカãƒãƒ¬ãƒƒã‚¸
  • ETM(Embedded Trace Macrocell), PTM(Program Trace Macrocell)ã«å¯¾å¿œ
  • ETB(Embedded Trace Buffer), TMC(Trace Memory Controller), TPIU(Trace Port Interface Unit)ã«å¯¾å¿œ
  • マルãƒãƒˆãƒ¬ãƒ¼ã‚¹ã‚½ãƒ¼ã‚¹ã«å¯¾å¿œ (CoreSight トレース)


PowerProbe

PowerProbe - ロジック/プロトコルアナライザ



  • 最高400MHzã®ã‚¿ã‚¤ãƒŸãƒ³ã‚°ã‚¢ãƒŠãƒ©ã‚¤ã‚¶
  • 最高100MHzã®ã‚¹ãƒ†ãƒ¼ãƒˆã‚¢ãƒŠãƒ©ã‚¤ã‚¶
  • 64入力ãƒãƒ£ãƒ³ãƒãƒ«
  • トランジェントレコーディング
  • 時間相関ã®ã‚ã‚‹RISCトレース
  • ステートクロック用クロックé¸å®šæ©Ÿèƒ½
  • ステートåŠã³ã‚¿ã‚¤ãƒŸãƒ³ã‚°æ··åœ¨ãƒ¢ãƒ¼ãƒ‰
  • 4個ã®ã‚¹ãƒ†ãƒ¼ãƒˆã‚¯ãƒ­ãƒƒã‚¯å…¥åŠ›
  • SOCターゲット用アダプタ
  • オプションã®ãƒ‘ターンジェãƒãƒ¬ãƒ¼ã‚¿
  • CAN, USBãªã©ã®ãƒ—ロトコルサãƒãƒ¼ãƒˆ


オンチップペリフェラル用SOCトレース


Exaliburボード用アダプタ
 


Step 1 ファームウェアにSOCスキャナーモジュールを統合

SOCスキャナーはトップレベルモジュール上に統合され、トレースおよび命令ラインはX123に接続されます。


	SOC_T[0]     : LOCATION = Pin_N11;
	SOC_T[1]     : LOCATION = Pin_AH11;
	SOC_T[2]     : LOCATION = Pin_N12;
	SOC_T[3]     : LOCATION = Pin_AC12;
	SOC_T[4]     : LOCATION = Pin_N13;
	SOC_T[5]     : LOCATION = Pin_AE11;
	SOC_T[6]     : LOCATION = Pin_M5;
	SOC_T[7]     : LOCATION = Pin_AB12;
	SOC_T[8]     : LOCATION = Pin_L5;
	SOC_T[9]     : LOCATION = Pin_AD11;
	SOC_T[10]    : LOCATION = Pin_K4;
	SOC_T[11]    : LOCATION = Pin_A8;
	SOC_T[12]    : LOCATION = Pin_E6;
	SOC_T[13]    : LOCATION = Pin_J4;
	SOC_T[14]    : LOCATION = Pin_E7;
	SOC_T[15]    : LOCATION = Pin_H4;
	SOC_T[16]    : LOCATION = Pin_C8;
	SOC_T[17]    : LOCATION = Pin_K5;
	SOC_T[18]    : LOCATION = Pin_B8;
	SOC_T[19]    : LOCATION = Pin_E4;
	SOC_T[20]    : LOCATION = Pin_E9;
	SOC_T[21]    : LOCATION = Pin_J5;
	SOC_T[22]    : LOCATION = Pin_E8;
	SOC_T[23]    : LOCATION = Pin_H5;
	SOC_T[24]    : LOCATION = Pin_D8;
	SOC_T[25]    : LOCATION = Pin_G5;
	SOC_T[26]    : LOCATION = Pin_B5;
	SOC_T[27]    : LOCATION = Pin_F5;
	SOC_T[28]    : LOCATION = Pin_A5;
	SOC_T[29]    : LOCATION = Pin_E5;
	SOC_T[30]    : LOCATION = Pin_E10;
	SOC_T[31]    : LOCATION = Pin_D5;
	SOC_CLKIN    : LOCATION = Pin_AD12;
	SOC_CLKOUT   : LOCATION = Pin_N4;
	SOC_SYNCH    : LOCATION = Pin_AF11;
	SOC_LOAD_N   : LOCATION = Pin_P13;
	SOC_DATA     : LOCATION = Pin_P4;




Step 2 EXCALIBURボードにアダプタで接続

Step 3 SOCトレース用にシグナル名を規定

      PP::
      NAME.RESET
      NAME.SET x.0 USER_LED0
      NAME.SET x.1 USER_LED1
      NAME.SET x.2 USER_LED2
      NAME.SET x.3 USER_LED3
      NAME.SET x.0 USER_LED4
      NAME.SET x.1 USER_LED5
      NAME.SET x.2 USER_LED6
      NAME.SET x.3 USER_LED7

Step 4 動作設定

    ANALYZER.CSELECT USER_LED0
    ANALYZER.CSELECT USER_LED1
    ANALYZER.CSELECT USER_LED2
    ANALYZER.CSELECT USER_LED3
    ANALYZER.CSELECT USER_LED4
    ANALYZER.CSELECT USER_LED5
    ANALYZER.CSELECT USER_LED6
    ANALYZER.CSELECT USER_LED7

    ANALYZER.MODE 8X32

    ANALYZER.RATE TRANSIENT

    ANALYZER.MODE 100MHZ

Step 5 プロジェクトコンパイル ローディング

例として、’Hello’というデザインは、PowerProbeデバイスを利用してFPGAデバイスの内部シグナルをトレースするためのSOCモジュールの典型的な使用例です。`Hello.zip’は、Quartusソフトウェア用のプロジェクトおよび必要なソース、設計ファイル全てを含みます。
コンパイルプロセスでは、オンボードブートFlashデバイスのプログラムに使用する'hello_flash.hex'というHEXファイルが作成されます。 このファイルは、ByteBlasterケーブルと'exc_flash_programmer.exe'を使用してExcalibur評価ボード上のオンボードFlashメモリデバイスにプログラムされる必要があります。
Excaliburデバイスの組込みSOCブロックの入力/出力はEPXA10開発ボード拡張コネクタU123に結合されているピンにマッピングされています。
A 練習用ファイル'hello_demo.cmm'が、SOCブロック用に設定を行います。

Step 6 表示




Copyright © 2024 Lauterbach Japan, Ltd., Kouhoku-ku, Nisso 16th Building, Yokohama-shi, Japan 222-0033   Impressum     Privacy Policy
The information presented is intended to give overview information only.
Changes and technical enhancements or modifications can be made without notice.
Last generated/modified: 07-Mar-2024